Products

Fixing DFM hotspots with Calibre signoff during design implementation

By Srinivas Velivala – Mentor, A Siemens Business

Adding the Calibre RealTime Digital API to the GLOBALFOUNDRIES DFM-POP hotspot fixing flow provides Calibre signoff verification of DFM hotspot fixes in P&R.

The best time to fix a problem in an integrated circuit (IC) layout is when it’s first created—during design implementation. That’s true for both mandatory design rule checking (DRC) compliance, as well as for design for manufacturing (DFM) optimizations that help reduce fabrication issues. Early identification and resolution of design issues becomes more critical with every process node advance, as designs become more complex and larger, with more challenging geometries that make late adjustments difficult and time-consuming.

To help design companies get to tapeout on time, with designs optimized for successful manufacturing, GLOBALFOUNDRIES introduced DRC+, a DFM process that uses their proprietary library of yield detractor patterns in conjunction with the Calibre™ Pattern Matching tool to detect defective geometries (hotspots) in the layout during the place and route (P&R) stage.

The DFM toolkits included in the DRC+ process provide a number of options for finding and fixing these hotspots. However, until now, verification of these fixes was done with the P&R tool’s internal DRC engine. Because these engines are not signoff-compliant, there were always some errors remaining that could still cause significant delays further along in the design verification process.

Recently, GLOBALFOUNDRIES and Mentor collaborated to incorporate the Calibre RealTime Digital tool into the process. The Calibre RealTime Digital tool works with P&R scripts provided by GLOBALFOUNDRIES to apply immediate Calibre signoff verification to fixes. This optimized flow enables designers to fix their hotspots in a surgical fashion with Calibre signoff-quality DRC compliance during design implementation, while maintaining timing constraint compliance.

To get the full story, download a copy of our white paper, Fix DFM hotspots in P&R with Calibre sign-off confidence, and learn how you can accelerate design closure and condense your tapeout schedules.

Shannon Williams

Leave a Reply

This article first appeared on the Siemens Digital Industries Software blog at https://blogs.stage.sw.siemens.com/calibre/2020/06/09/fixing-dfm-hotspots-with-calibre-signoff-during-design-implementation/